ASML's Earnings Set The Tone For Chip-Equipment Industry

Chip-equipment maker ASML Holding NV (ADR) ASML reported Wednesday second-quarter results that were ahead of expectations.

The ADR of ASML rose over 5 percent on Wednesday in reaction to the results, were higher yet again on Thursday and closed out the week up 0.31 percent at $154.29.

ASML's Brilliant Quarter

With the Dutch semi-equipment maker ringing in robust quarterly results and issuing strong forward guidance, investors in companies such as Applied Materials, Inc. AMAT, Lam Research Corporation LRCX and KLA-Tencor Corp KLAC can brace for a solid quarter for the sector.

ASML's second-quarter sales came in at 2.10 billion euros and net income was at 466 million euros. Looking ahead, the company sees 25 percent sales growth in 2017, helped by industry strength and EUV demand. The company also guided third-quarter net sales to 2.2 billion euros and gross margin to 43 percent.

EUV, or Extreme Ultraviolet Lithography uses ultraviolet light of an extremely short wavelength of 13.5 nm. This allows chipmakers print layers in a single step, which otherwise would require multiple exposures, thus helping to save money.

ASML said it received eight additional EUV orders in the second quarter, and its EUV backlog increased to 27 systems, valued at 2.8 billion.

The company sounded bullish on the industry's prospects, positive enough for fellow semi-equipment makers to partake in the rally.

See also: Recapping The Semicon West Trade Show: Winners And Losers

Industry Outlook

Semi-equipment makers are thriving because of the buoyancy seen in their end market, as demand for chips from cloud and data centers swell in additional to traditional avenues.

Gone are the days when chipmakers had to rely solely on PCs or like-devices. Currently, chips find application in several new-age technologies such as artificial intelligence, IoT and Automated Driver Assistance systems. All these require big data store and cloud-based servers, which essentially perks up the demand for more memory, according to Bluefin Research Partners.

Industry data released by the Semiconductor Industry Association showed that global semiconductor sales rose 22.6 percent in July, the strongest annual growth pace since September 2010.

Bank of America Merrill Lynch analyst Krish Sankar, who attended the Semicon West scheduled earlier this month, said drivers for future growth include the transition from 2D to 3D NAND, increasing business with Chinese memory companies and coming 7nm foundry, logic and auto spending.

NAND flash memory is a non-volatile storage technology that works without power and used in applications such as MP3 players, digital cameras, etc.

Outperforming The Markets

Stocks of chip-equipment companies have outperformed the broader market in the year-to-date period, given the positive vibes from the industry.

LRCX Source: Y Charts

Earnings Schedule And Expectations

  • Applied Materials (FQ3:– August 17/EPS of $0.84 on revenues of $3.69 billion, up 30.70 percent year over year.
  • KLA-Tencor: July 27/EPS of $1.59 on revenues of $923.81 million, 0.50 percent higher than last year.
  • Lam Research (FQ4): July 26/ EPS of $3.04 on revenues of $2.32 billion, up 50 percent year over year.

_______ Image Credit: By Unknown - SVG erstellt durch de:Benutzer:Afrank99, Public Domain, via Wikimedia Commons

Date
ticker
name
Actual EPS
EPS Surprise
Actual Rev
Rev Surprise
Posted In: Analyst ColorEarningsNewsAnalyst RatingsTechTrading Ideas
We simplify the market for smarter investing

Trade confidently with insights and alerts from analyst ratings, free reports and breaking news that affects the stocks you care about.

Join Now: Free!

Loading...